Hlavní navigace

Polovodičový průmysl na cestě od nanometrů k ångströmům

30. 7. 2021
Doba čtení: 10 minut

Sdílet

 Autor: Intel
Výrobci křemíkových čipů se ženou za jedním cílem. Pryč od FinFET směrem k tranzistorům s více řídícími elektrodami, za plného využití EUV litografie. Intel nyní ukazuje, jak bude vypadat tato dekáda.

Proč opět Intel

Na úvod mi dovolte vyjasnit, proč je toto další článek v řadě, který nese v perexu jméno Intel. Cílem tohoto textu není snaha o propagaci momentálně kulhajícího výrobce čipů. Je pouze shodou okolností, že Intel nyní přišel se souhrnem informací týkajících se výroby čipů, které souznějí s celým polovodičovým segmentem a ukazují, jaký momentálně probíhá vývoj. Nejen u Intelu, ale i u Samsungu či TSMC (další menší výrobce spíše pomiňme, tito tři jsou celá světová procesorová špička).

Povídat si tedy budeme i o firmách, které vyrábí nejen samy pro sebe, ale které zajišťují i výrobu procesorů, SoC či GPU pro AMD, Apple, Qualcomm, Mediatek a další a další zákazníky.

Co chystá Intel a kdy to přijde

Hodně textu jsem zde na Rootu již napsal o Intelu a zejména jeho aktuálním stavu výrobních technologií. Naposledy jsme se tomuto tématu věnovali v článku o CPU architektuře Alder Lake, která do desktopů zamíří už za pár měsíců.

Velmi stručně řečeno: Intel nyní vyrábí převážně technologií 10nm SuperFIN, což je poslední generace jeho 10nm výroby. Ta příští vylepšená 10nm Enhanced SuperFIN už nepřijde, nově se totiž ve firemním schématu jmenuje Intel 7 a jasně odkazuje na známou skutečnost, a sice že Intelem udávané rozměry gate odpovídají značení TSMC/Samsungu o jednu generaci novějšímu. Tedy Bývalý budoucí 10nm SuperFIN Enhanced u Intelu, nově Intel 7, odpovídá 7nm FinFET procesu TSMC. Toto sděluje Intel a v tuto chvíli není důvod mu nevěřit.

Pokud by vás plány a změny Intelu na poli výrobních procesů a chystaných produktů zajímaly podrobněji, kolega Jan Olšan na Cnews vše rozebírá v řadě článků. Vedle přečíslování výrobních procesů shrnuje známé informace o nejbližší budoucnosti týkající se procesorů Alder Lake-S a standardu ATX12VO, přibližuje následnou generaci Meteor Lake s novým GPU a pro zájemce o low-end je k dispozici též aktuální srovnávací test Core i5–10400F a 11400F od Ľubomíra Samáka. Zde se po zbytek textu budeme věnovat výrobním technologiím z širšího pohledu.

Po procesu Intel 7 nepřijde od světové jedničky nic číselně hned za tím, Intel hodlá další generaci pojmenovat Intel 4, tu další pak Intel 3. Lze tedy říci, že (vezměme to od éry prvních procesorů Core), Intel prošel – prochází – projde následujícími výrobními procesy (číslo v nm – nanometrech): 130 → 90 → 65 → 45 → 32 → 22 (první FinFET) → 14 → 10 → 7 → 4 → 3 → 2nm = 20A. Další proces po Intel 3 už nebude Intel 2, ale Intel 20A, kde A znamená ångström, neboli jednotku délky se vztahem 1 nanometr = 10 ångströmů.

Problém s příliš malými nanometry

Už pár let mi vrtalo hlavou, jak to výrobci hodlají dělat. Když po 45nm přišel Intel s 32nm, šlo o pokles (ze 100% = 45nm) o ~29 % číselné hodnoty. A že 32nm výroba byl extrémní skok vpřed, přinesl (ve druhé generaci tohoto procesu) před 10 lety dodnes opěvovanou generaci CPU Sandy Bridge! Další přechod na 22nm výrobu (s nímž přišla FinFET technologie v procesorech Ivy Bridge) znamenal pokles o ~32 %. Další pokles na 14 nm znamenal –36 %, další pokles na 10 nm pak –28 %, s novým značením nás čeká –30 % (10→7nm), dále pak brutálních –43 % (7→4) a posléze –25 % (4→3nm) a pak už přichází ångströmy, tedy –33% (3→2nm/20A). Pokud by po tomto měl přijít pokles na 1nm, šlo by o –50 % a to už je příliš velký skok. Nepřijde, víme, že po 20A bude 18A. Co přijde potom, prozatím nepředjímejme, rozhodně se ale musí od nm přejít na nižší jednotku, podobně jako kdysi od µm na nm.

Co z toho lze vše usuzovat? Berte následující pasáž jako můj osobní komentář. Intel pouze uvádí, jak to bude, ale nepíše detailněji proč. Já to vidím následovně. Za starých dobrých časů poklidných vod planární výroby čipů (u Intelu skončila s poslední 32nm generací, u TSMC šlo ještě o 28nm, resp. 20nm výrobu) bylo možné jakž-takž parametry porovnávat. Víme, že dnes se udávané rozměry v nm s realitou rozměrů v čipech (vzdáleností jednotlivých řídících elektrod gate) rozbíhají.

Ono už první 22nm 3D čipy Intel nebylo možné přímo porovnat s předchozí 32nm generací. Řídící elektroda byla postavena na výšku a tudíž by to bylo jako porovnávání automobilu s motorkou. Nyní s úsvitem vícenásobných řídících elektrod (Intel jim říká RibbonFET, TSMC jim říká GAAFET – gate-all-around FET, Samsung jim říká MBCFET – multi-bridge-channel FET) to bude zase jinak. Jak už Intel ve videu naznačuje, těch vrstev gate může být více a je možné jim měnit i šířku. To vše jsou zcela kruciální hodnoty, které určí parametry budoucího čipu a nelze je přímo porovnávat s FinFET či planárními tranzistory.

Ostatně TSMC končila planární éru s nepovedeným 20nm procesem (čipy jako Qualcomm Snapdragon 810 a Mediatek X20 se za běhu velmi přehřívaly). Když u tohoto procesu provedla přechod na FinFET, tedy postavila gate na výšku, pojmenovala jej 16nm. A díky FinFET šlo o řádově kvalitnější výrobní proces. Když přišla s první generací 7nm procesu, používala mnohonásobný osvit masky, protože ASML ještě nebyla schopna dodat EUV stroje, rozhodně ne s dostatečným výkonem. Pozdější varianty 7nm výrobního procesu již s EUV pracovaly, přesto byly stále značeny jako 7nm, i když parametry vyrobených čipů byla znatelně lepší.

Proto berme hodnotu nanometrů – a v budoucnu ångströmů – jako orientační a nezlobme se na Intel, že si 10nm+++++ nyní přeznačuje na 7. Je to nepodstatný detail.

Zajímavé body z prezentace Intelu

Než se podíváme ke konkurenci, dovolte mi rýpnout si do Intelu na základě několika informací zmíněných ve slajdech. Obecný dojem o jeho výrobních procesech ještě před pár (tý)dny byl ten, že 10nm absolutně nezvládají a 7nm jsou jen prázdné sliby. Nejnovější slajd nad tímto odstavcem říká několik informací.

Tou první je, že 10nm SuperFIN výroba běží na plné obrátky ve velkých objemech. To víme, vyrábí se jak Xeony, tak Alder Lake. Ale že běží již v sériové výrobě (byť v menších objemech) i následná 10nm generace, nově pojmenovaná Intel 7, je velmi zajímavá informace a náznak Intelu všem škarohlídům o tom, jak to vypadá s přípravami na vylepšené produkty oproti těm, které půjdou na trh ještě letos na podzim.

Osobně ale za nejzajímavější, a to ve špatném slova smyslu, vidím informaci u procesu Intel 4 (původně 7nm proces), a sice že teprve s ním Intel plně využije EUV litografii. Asi jsem si chybně uložil do hlavy svůj mylný dojem, že EUV Intel plně nasadí (nasadil) již v rámci 10nm generace (tedy včetně Intel 7). Protože bez EUV se nedá jít dál a TSMC jede s EUV na plný plyn už nějakou dobu.

Co chystá TSMC a Samsung

TSMC si aktuálně nestěžuje. Svým 10nm procesem a zejména pozdějším 7nm FinFET procesem získala pozornost světa a když do 7nm výroby nasadila EUV, definitivně odskočila poslednímu výrobci, který stíhal její tempo inovací, a sice Samsungu. Dnes TSMC vyrábí obrovská množství 7nm čipů a stále rostoucí, dá se již říci velkoobjemové dodávky 5nm čipů též míří na trh (prvním zákazníkem byl Apple s čipem M1). Narýsováno je vše už i pro 3nm výrobu, která samozřejmě pojede na EUV strojích od ASML, nicméně která nebude tou generací,s níž TSMC přejde od FinFET ke GAAFET. Na vícevrstvé řídící elektrody v podobě „drátků“ přejde TSMC s 2nm výrobou. Po vzoru Intelu bychom mohli říkat třeba 20A.

Jihokorejský gigant Samsung momentálně vytvořil trochu chaos ve svých výrobních procesech v nabídce (neberte mě ale za slovo, Intel jej nyní nejspíš překonal). Každopádně svůj Exynos 2100 vyrábí vlastním 5nm EUV procesem už od přelomu roku. Z dosavadních, zčásti oficiálně nepotvrzených informací plyne, že jeho varianta vícevrstvých řídících elektrod, zvaná MBCFET – jež má blíže s Intelem než TSMC – přijde později než se původně čekalo. V případě Samsungu má jít o následný 3nm výrobní proces. Návrhy čipů s touto technologií mají přijít ještě letos, ale kdy budou na trhu reálné produkty ve velkých objemech, zatím není jasné.

Vrstvení

Opomenout nesmíme ale také elegantní způsob obcházení nemožnosti / neschopnosti / neekonomičnosti vyrábět velké čipy. Vzpomeňme historicky, jak se AMD natrápila než se jí podařilo odladit výrobu velkých monolitických Phenomů X4. Intel prostě lepil dva čipy do jednoho pouzdra. AMD se poučila a nyní válcuje svět svými čiplety na bleeding-edge procesech propojených přes výrobně levnější můstky. Do Ryzenu jdou jeden-dva osmijádrové čiplety, do Threadripperu či EPYCu až 8 kusů. Řešení je velmi flexibilní a z hlediska výtěžnosti výroby daleko lepší než cokoli jiného.

Vedle toho máme jiný způsob řešení, který známe zejména ze světa NAND flash pamětí. Tam se už po léta vrství NAND flash die přímo v rámci jednoho čipu. Aktuálně všichni velcí výrobci v laboratořích prolamují hranici 200 vrstev a je to vedle přidávání bitů druhá klíčová technologie, díky níž NAND flash paměti tak rapidně zlevňují.

Do třetice tu máme princip známý ze světa fotografických snímačů, kterému se nyní Intel s technologií PowerVIA. Kdysi se fotografické snímače (pro zjednodušení se bavme pouze o CMOS) vyráběly tak, že mezi objektivem a fotocitlivými buňkami snímače byla ještě podpůrná elektronika samotného snímače. Pak vědci vymysleli způsob, jak čipy vyrábět obráceně tak, aby mezi objektivem a fotocitlivými buňkami nebylo nic a podpůrné obvody byly až pod tím. Výrobně snímač obrátili a nazvali to back-side illumination, neboli BSI CMOS. Hlupáci z řad novinářů tomu začali říkat (zpětně) podsvícený CMOS, ale to nechme plavat. Princip byl jasný: nepřekážet podstatné části funkcionality podpůrnými obvody.

Intel toto nyní s PowerVIA povýší a podpůrné obvody pro distribuci signálu i napájení udělá sendvičově ve vrstvách sousedících se samotnými výpočetními tranzistory. To by se neobešlo bez další technologie, o které se tu a tam píše už dobrých 10+ let, a sice through silicon via, realizace propojek mezi vrstvami čipu skrze tyto vrstvy. Za tímto cílem se ženou všichni výrobci čipů už léta, Intel nyní hovoří o tom, že své propojky dokáže zmenšit 500× oproti současné technologii na trhu. Zní to skvěle.

A ještě jednu věc musíme přihodit na seznam: lepení čipletů ne jen vedle sebe (jako v současnosti u AMD), ale i ve vrstvách na sebe. Intel tomu svému říká Foveros, vyvíjí to už řadu let a jde o velmi komplikovanou a komplexní technologii, kterou pokud se mu podaří vyladit k dokonalosti, získá velký trumf ve svých rukách. Ostatně u čipů rodiny Ponte Vecchio půjde právě o první velký mega-slepenec dílčích čipů, který celkově ponese 100 miliard tranzistorů. Intel se netají tím, že by rád lepil dohromady čipy různých generací výrobních procesů i různých výrobců. Takže klidně kus svého Intel 7 CPU, k tomu nějaké ty čiplety z 5nm TSMC linek a třeba nad rámec toho nějaké propojovátko ze starší 14nm FinFET továrny.

Zatím to zní jako sci-fi, ale moc hezky se to poslouchá. Protože jedním si můžete být jisti: všechny tyhle vrstvící schopnosti chtějí všichni velcí výrobci a lze očekávat, že všechny tyto technologie najdeme i u Samsungu a TSMC, v nějaké obdobě.

Závěrem ještě pár slov

Intel je letos hodně zajímavé sledovat. Co se zbavili Boba Swana a nastoupil Pat Gelsinger, vychází z firmy co pár týdnů další a další várka skvělých informací. Je pouze na vás, zdali budete považovat Pata Gelsingera za tlučhubu co staví vzdušné zámky, nebo se budete kritickým okem dívat na časové harmonogramy firmy Intel, která na rok 2015 slibovala to, co uvede teprve teď v roce 2021, nebo budete nadšeni tím, že se Intel konečně vzchopí.

Já jsem opatrně optimistický. Dejme Intelu s novým vedením šanci ukázat na procesorech jako Alder Lake-S či Xeonech generace Sapphire Rapids, že to opravdu nejsou jen slova, ale i činy.

Něco naznačuje i skutečnost, že Qualcomm si pro budoucí čipy již zajistil kapacity na výrobním procesu Intel 20A, tedy z toho co mu Intel ukázal, si jej vybral jako vítěze pro éru ~2nm čipů.

root_podpora

Ale aby se něco takového stalo, musel se Intel radikálně změnit: vždyť Qualcommu nabídl své nejlepší výrobní procesy, které má nyní v přípravě. Něco takového by ještě před takovými 7 lety bylo naprostou herezí, za kterou by byl daný člověk z Intelu propuštěn na hodinu. Intel se tak definitivně stává účastníkem foundry bitvy, kde se bude o zákazníky přetahovat se Samsungem a TSMC. A nic si nenalhávejme: když Apple odešel od Intel CPU, jistě lze předpokádat, že Patu Gelsingerovi hlodá v hlavě myšlenka přitáhnout Apple zpět, i kdyby jen formou výrobu jeho SoC v továrnách Intelu.

Zkrátka a dobře, užívejme si poslední dva roky v éře nanometrů, po níž přijde éra ångströmů, technologie vícevrstvých řídících elektrod, vrstvení die/čipů v jednom pouzdře a další revoluční skoky vpřed. Možná jsme na úsvitu nové éry, v jejímž průběhu přestaneme počítat jednotlivá procesorová jádra, protože jich budou desítky a bude na vývojářích, aby se s touto změnou konečně popasovali.

Byl pro vás článek přínosný?

Autor článku

Příznivec open-source rád píšící i o ne-IT tématech. Odpůrce softwarových patentů a omezování občanských svobod ve prospěch korporací.